[SV]SystemVerilog deep copy

Xena ·
更新时间:2024-09-20
· 885 次阅读

                                 SystemVerilog deep copy 一、deep copy

       SystemVerilog deep copy copies all the class members and its nested class members. unlike in shallow copy, only nested class handles will be copied. In shallow copy, Objects will not be copied, only their handles will be copied. to perform a full or deep copy, the custom method needs to be added.In the custom method, a new object is created, all the class properties will be copied to a new handle and the new handle will be returned.

二、deep copy example

       In the below example, the copy method is added in each class. whenever the copy method is called, it will create the new object and copies all the class properties to a new object handle and return the new object handle.

//-- class --- class address_range; bit [31:0] start_address; bit [31:0] end_address ; function new(); start_address = 10; end_address = 50; endfunction //copy method function address_range copy; copy = new(); copy.start_address = this.start_address; copy.end_address = this.end_address; return copy; endfunction endclass //-- class --- class packet; //class properties bit [31:0] addr; bit [31:0] data; address_range ar; //class handle //constructor function new(); addr = 32'h10; data = 32'hFF; ar = new(); //creating object endfunction //method to display class prperties function void display(); $display("---------------------------------------------------------"); $display("\t addr = %0h",addr); $display("\t data = %0h",data); $display("\t start_address = %0d",ar.start_address); $display("\t end_address = %0d",ar.end_address); $display("---------------------------------------------------------"); endfunction //copy method function packet copy(); copy = new(); copy.addr = this.addr; copy.data = this.data; copy.ar = ar.copy;//calling copy function of tr return copy; endfunction endclass // -- module --- module class_assignment; packet pkt_1; packet pkt_2; initial begin pkt_1 = new(); //creating pkt_1 object $display("\t**** calling pkt_1 display ****"); pkt_1.display(); pkt_2 = new(); //creating pkt_2 object $display("\t**** calling pkt_2 display ****"); pkt_2.display(); pkt_2 = pkt_1.copy(); //calling copy method //changing values with pkt_2 handle pkt_2.addr = 32'h68; pkt_2.ar.start_address = 60; pkt_2.ar.end_address = 80; $display("\t**** calling pkt_1 display after changing pkt_2 properties ****"); pkt_1.display(); $display("\t**** calling pkt_2 display after changing pkt_2 properties ****"); pkt_2.display(); end endmodule

Simulator Output  

**** calling pkt_1 display ****
———————————————————
addr = 10
data = ff
start_address = 10
end_address = 50
———————————————————
**** calling pkt_2 display ****
———————————————————
addr = 10
data = ff
start_address = 10
end_address = 50
———————————————————
**** calling pkt_1 display after changing pkt_2 properties ****
———————————————————
addr = 10
data = ff
start_address = 10
end_address = 50
———————————————————
**** calling pkt_2 display after changing pkt_2 properties ****
———————————————————
addr = 68
data = ff
start_address = 60
end_address = 80
———————————————————


作者:gsithxy



deep

需要 登录 后方可回复, 如果你还没有账号请 注册新账号
相关文章